chisel - 如何在Chisel3中初始化Mem的数据

标签 chisel

是否有任何简单的方法可以从文件中初始化 Mem 的数据(类似于 Verilog 中的“readmemh”)?

最佳答案

现在,chisel 堆栈中有一种机制可以注释内存,以便在模拟中使用 readmemh 或 readmemb。查看 Chisel Wiki 中的文档

另外,请参见 Chisel Testers具体使用示例

关于chisel - 如何在Chisel3中初始化Mem的数据,我们在Stack Overflow上找到一个类似的问题: https://stackoverflow.com/questions/41947480/

相关文章:

凿子 3 : Verilog "default" case equivalent

chisel - 如何表达Vec的指定索引范围?

chisel - 更新位向量的单个位

scala - 凿子3.功能模块MUX4

chisel - 如何避免 Chisel 测试仪中的多重设计细节

simulation - 模拟用 Chisel 编写的 CPU 设计

chisel - 如何做一个模块向量?

chisel - 不绑定(bind)到 chisel 内存中的可综合节点异常

chisel - 如何使用Chisel C++模拟器