当前分类:chisel

hardware - 是否可以避免指定默认值以便在 Chisel 中获得 X?

scala - 凿子:如何避免错误 NO DEFAULT SPECIFIED FOR WIRE

chisel - io += 端口 : value += is not a member of Chisel. bundle

scala - Chisel:从测试仪访问模块参数

riscv - Chisel 中的 Queue() 函数有什么作用?

cpu-architecture - MemReq 和 MemResp 在 RoccIO 中如何工作 - RISCV

scala - 如何删除Chisel verilog后端生成的无用寄存器?

chisel - 关于 Chisel 的语法

scala - Chisel3 中的运算符 -&、-%、+&、+% 中的 '&' 和 '%' 意味着什么?

Chisel 中的矩阵运算

凿子3 : Partial assignment to a multi-bit slice of a Vector IO

scala - 当我想要构建功能模块创建时如何处理 Vec 输入

chisel - 如何将Vec的各个元素相加?

Chisel:嵌套向量中丢失的解耦方向性

chisel - chisel iotesters 中的多个时钟支持

scala - 自定义记录类型的 Firrtl 解析器语法错误

chisel - 如何在Chisel中使用参数化模块生成Verilog代码?

chisel - 单独编译模块并链接

scala - firrtl 中的 AND 运算未进行符号扩展

chisel - 在 Chisel3 中 BlackBoxing 后生成 Verilog 代码

热门标签: