凿子如何只测试一个包

标签 chisel

我正在使用 chisel-template

在我的 src/main/scala 中,我有两个文件夹,假设是 A 和 B。

src/test/scala 中,我有一个 A 文件夹和一个 B 文件夹。

我只想测试 A 但当我这样做时

$ sbt "test:runMain A.TestMain" 

我有B的错误导致编译失败。我知道 B 有问题,但我只想先检查 A。

如何只测试或编译一个文件夹?

谢谢!

最佳答案

我认为没有办法做到这一点。但是,如果您使用 scalatest 而不是 main 来启动测试,那将相当容易。 您可以使用 testOnly 指定您想要的路径,例如,从 sbt 命令行

testOnly A.*

或者从 shell 命令行

sbt 'testOnly A.*'

事实上,对于测试,您甚至可以通过使用在测试工具中指定特定测试

sbt 'testOnly A.* -- -z "dog"'

(注意,引用很重要), 您只能运行包 a 中测试名称中包含单词 dog 的那些测试

关于凿子如何只测试一个包,我们在Stack Overflow上找到一个类似的问题: https://stackoverflow.com/questions/54232322/

相关文章:

Chisel 分配给 UInt 的各个位

Chisel3 : Vec indexWhere expected Bool, 实际 任意

chisel - Chisel 中的高级参数化手册

凿子测试 - 内部信号

chisel - 如何使用Chisel C++模拟器

scala - 如何使用chisel模块作为包

scala - Chisel 中的电源操作符

chisel - 定义 IO 时如何创建向量的向量

riscv - 在Chisel 3下,编译Rocket Chip的Verilator生成的C++需要10分钟。有什么方法可以加快这个速度吗?

chisel - 将寄存器中的值与 int 进行比较