vhdl - VHDL 的 IEEE 库中的可合成定点/浮点

标签 vhdl ieee-754 fixed-point synthesis ieee

我正在创建一个 VHDL 项目(Xilinx ISE for Spartan-6),该项目需要在定点/浮点中使用十进制“真实风格”数字(我希望定点就足够了)。

作为 VHDL 的新手,我艰难地发现了非常数 real综合不支持类型,因此我开始寻找 IP 核或库来解决这个问题。

到目前为止我找到了 3 个选项;

1) A floating point IP core由赛灵思提供

2) 由 David Bishop 编写的可下载的“ieee_propose”库 found here

3) 在花了相当长的时间尝试弄清楚如何“创建”一个包含 David Bishops 文件的新库后,我快速浏览了默认的 IEEE图书馆并看到它包含 ieee.fixed_generic_pkgieee.fixed_pkg包。

我的问题是 - 在这两个库中 - 哪一个更适合使用?一种适合合成,一种不适合,或者一种比另一种更老?那么如果提供浮点,那么Xilinx提供的浮点IP核有什么实际意义吗?

我已经搜罗了很多questions尝试添加 ieee_proposed 的人库,但似乎没有人提到它们似乎已经存在于现有的 IEEE 中这一事实。

非常感谢您的帮助!

============更新(本质上是我自己努力解决的)==================

我实际上无法使用 ieee.fixed_pkg - 尝试这样做会给我错误 Cannot find <fixed_pkg> in library <ieee> .

在 C:\Xilinx\14.7\ISE_DS\ISE\vhdl\xst\nt 找到 ieee 库后,我发现 fixed_pkg实际上驻留在 ieee_propose 中。但是,这仍然会引发相同的错误!

最佳答案

愚蠢的问题,但是当您下载ieee_propose时,您还记得编译它吗?

编辑:还要记住将库映射到您的模拟。也许您已经做了所有这些,但这些是我经常犯的错误。

关于vhdl - VHDL 的 IEEE 库中的可合成定点/浮点,我们在Stack Overflow上找到一个类似的问题: https://stackoverflow.com/questions/35242353/

相关文章:

c++ - 快速登录 C++ float...此代码中是否存在任何平台依赖性?

用于整数三角函数的 C++ 库,使用可选近似值优化速度?

assembly - 规范化一个二补数

performance - 非规范化数 - IEEE 754 浮点

vhdl - vhdl 中的 "unexpected others"

vhdl - "if" block 是否有可能超出 vhdl 中的给定选择?

vhdl - 是否需要初始化?

floating-point - 为什么NaN存在?

android - 在 Android 上使用 GL_FIXED 还是 GL_FLOAT 更好

vhdl - 如何修复 "Error: Expected expression of type std_ulogic"?