当前分类:synthesis

hardware - 是否可以避免指定默认值以便在 Chisel 中获得 X?

vhdl - Vivado 是否已忘记进行类型推断?

fpga - Quartus 如何优化您的电路?

verilog - 带 2 个复位的 D 触发器 : synthesis error

c++ - 奈奎斯特频率限制 - 如何仅混合低于奈奎斯特限制的谐波

Verilog:Vivado 综合工具是否会自动将信号添加到灵敏度列表?

events - 为什么这个不能合成? (在 NOT(时钟边沿)下不保持其值)

vhdl - 模拟器和合成器之间初始化状态机的差异

system-verilog - 在可综合的 SystemVerilog 中的枚举 typedef 中使用 don't-care

objective-c - 单独在.h文件的interface()方法中定义变量而不综合它有什么区别?

c - 通用加成合成程序

verilog - Verilog casez 中的 "??"是什么?

vhdl - 警告 : Design contains 1 high-fanout nets. 涉及这些网络的延迟计算将使用扇出数 1000。 (TIM-134)

音频合成最佳实践

arrays - 如何使用索引在数组类型中递增 std_logic_vector?超高清描述语言

indexing - 索引部分选择可在 verilog 中综合

VHDL syn_looplimit 和综合

vhdl - 双向总线上的 block RAM

xilinx - 为什么这个 VHDL 不推断 XST 中的 BRAM?

verilog - Basys2 上的七段复用

热门标签: