fpga - 如何在 Xilinx ISE 中找到推断锁存器?

标签 fpga xilinx xilinx-ise

我在 Xilinx ISE 中有一个大型设计,有 3 个推断锁存器我想删除。没有IP核或Microblaze,所有代码都是我自己编写的。我在设计中定位闩锁时遇到问题。我已经搜索过报告文件,但没有帮助我。有什么方法可以快速找到他们???谢谢

最佳答案

您可以在综合报告(*.syr 文件)中搜索 XST 警告 737:

WARNING:Xst:737 - Found <n>-bit latch for signal <name>.

<n><name>应替换为适当的通配符:)

综合报告末尾的 BEL 报告将列出 L*触发器部分中的原语(例如 LD )。普通的触发器被命名为 FD* (例如 FDRCE )。

关于fpga - 如何在 Xilinx ISE 中找到推断锁存器?,我们在Stack Overflow上找到一个类似的问题: https://stackoverflow.com/questions/32965214/

相关文章:

vhdl - 如何将数组写入文本文件?VHDL代码

ubuntu - Vivado HLS 2014.4.1 在 Ubuntu 14.10 x64 上崩溃且没有任何错误

vhdl - 如何将端口的转换约束从慢速更改为快速?

verilog - 验证 Verilog 中的参数

ubuntu - 在 ubuntu 12.4 上启动 ise14.6

windows - I2C 到 FPGA 到 PCIe 到 HID

vhdl-2008 通用类型的解析函数

vhdl - inout 参数是如何实现的?

xilinx - 如何从 Linux 访问 Xilinx Axi DMA?

linux - 基本的 Linux dmesg 问题