hdl - 如何用iotesters计算凿子的时间?

标签 hdl chisel

有没有办法获取 iotesters 中的步长值? 目前我正在使用 var 计数器,但我确信有更好的方法:

class MyTest (dut: MyModule) extends PeekPokeTester(dut) {
  var timeCounter = 0
  for(i <- 0 to 10) {
    step(1)
    timeCounter = timeCounter + 1
  }
  println("Step value is " + timeCounter)

是否有类似 getStepValue() 的函数来获取该值?

最佳答案

您可以使用 (presently undocumented) method t 获取此信息.

有一个内部 var simTime that is tracking time 。这会在步骤上自动递增(就像您的操作方式一样)。 t 方法可让您查询查询的值。

关于hdl - 如何用iotesters计算凿子的时间?,我们在Stack Overflow上找到一个类似的问题: https://stackoverflow.com/questions/58029114/

相关文章:

chisel - Chisel 模块中的条件端口

scala - RegInit 仅在复位时初始化值

在没有 FPGA 的情况下测试我的 HDL 代码(Verilog/VHDL)?

scala - 在 Chisel 中使用 Vec[Mem] 会很好

vhdl - 编译用于FPGA的HDL程序的一般程序是什么?

hardware - 编译器的bug,还是对SystemVerilog的误解?未声明的端口类型在模拟中工作

chisel - 解复用器 : Unconnected Wires/firrtl error

Chisel:SyncReadMem 类的 "read"函数(或宏)是如何实现的?

c++ - SystemC如何获取交互式用户输入

verilog - 如果有 2 个 always block ,哪个 block 将首先执行