scala - Chisel:在 Windows 上编译 Chisel 库

标签 scala chisel

我一直在 Windows 上使用 sbt 和自定义 build.sbt 脚本以及顶级文件中的 import Chisel._ ,以便从我的 Chisel 源代码成功生成 Verilog。

我正在尝试让 IDE 在 Windows 上运行以加快 Chisel 的开发。我已经使用基于 Eclipse 的 SCALA IDE http://scala-ide.org/download/sdk.html/

我想编译Chisel库,以便可以在本地解析导入Chisel._,而不必每次都从存储库下载源代码并重新编译源代码。当我从 Git 下载 Chisel-master 存储库并将 src\main 文件夹包含在 SCALA IDE 中的 SCALA 项目中时,我在 Chisel SCALA 文件中遇到大量语法错误,导致我无法构建项目。

是否有人以前在 Windows 上做过类似的事情,或者有任何使用 SCALA IDE 的知识,因为这可能只是项目配置中 undefined symbol 的情况?

最佳答案

不确定你对 build.sbt 的重新编译做了什么(我认为它只是第一次下载它,然后它会缓存它以供将来使用)。但我在 Linux 上使用 ScalaIDE for Chisel,使用默认的 build.sbt 文件,也许您可​​以尝试先让它开箱即用,以帮助缩小问题范围。

以下是我为了让 ScalaIDE 与 Chisel 一起工作而采取的步骤:

  1. 最新的 Scala IDE 使用 2.11.8,当前 Chisel 存储库默认为 2.11.7。所以我必须将所有 build.sbt 对 scalaVersion 的引用从 2.11.7 更改为 2.11.8

  2. 我使用了 sbteclipse https://github.com/typesafehub/sbteclipse 创建可导入的工作区来设置编译依赖项。

  3. 除了 chiselFrontEnd。由于某种原因,这个包没有添加到依赖项中。我必须为我自己的项目手动添加 chiselFrontEnd 作为 javabuildpath 依赖项(Properties/JavaBuildPath,在 Projects 下)。

关于scala - Chisel:在 Windows 上编译 Chisel 库,我们在Stack Overflow上找到一个类似的问题: https://stackoverflow.com/questions/44904506/

相关文章:

scala - 使用 list 实例化 View 有界类型

java - 如何将 Scala 变量注入(inject) Scala 模板 HTML 中的 html 属性(字符串)

multithreading - 每个 Actor 的 Akka 套接字

chisel - 如何调用内部定义的变量 withClockAndReset

chisel - :*= and :=* operators?是什么意思

scala - 如何禁用 java ortools CP 求解器日志记录?

Scala:java.lang.NoClassDefFoundError:无法初始化类 sbt.internal.parser.SbtParser

chisel - 如何使用Chisel C++模拟器

chisel - 如何从训练营示例导入 getVerilog() 函数?

scala - 是否可以在 io 包中声明条件信号?