chisel - 在测试仪中使用多个时钟

标签 chisel

我有一个具有多个时钟的模块,使用withClockAndReset。编写测试平台时,如何在指定时钟端口上提供时钟激励?

最佳答案

凿子测试员做你想做的事情的能力非常有限。我认为标准凿子堆栈中的最佳选择是尝试使用新的 chisel-testers2 。该存储库中的单元测试中有一个示例:ClockDividerTest.scala以及其他一些与时钟相关的测试。这是目前凿子开发非常活跃的领域。如果您可以尝试一下,团队非常有兴趣让这项工作成功。

关于chisel - 在测试仪中使用多个时钟,我们在Stack Overflow上找到一个类似的问题: https://stackoverflow.com/questions/56568140/

相关文章:

Chisel invert Vec[Bool] 一行

chisel - :*= and :=* operators?是什么意思

chisel - 如何为最终 Verilog 文件中模块序列中的每个元素指定唯一名称

fpga - Chisel3 REPL peek 值是正确的,但期望在测试中失败

scala - 如何处理ActiveLow复位/改变隐式时钟频率?

凿子/FIRRTL DefnameDifferentPortsException

riscv - 在 VCD 中显示 Chisel 信号

scala - 检索踏板内部的凿子源描述

chisel - 当我们应该在 chisel3 中使用 ":="而不是 "="时,同样的情况是 "when"和 "if"

scala - 凿子 "Enum(UInt(), 5)"失败