vhdl - 是否可以使用Quartus在VHDL代码中调用Verilog函数

标签 vhdl verilog quartus

我目前正在审查 2 种类型的代码(VHDL 和 Verilog)。 我尝试使用 Quartus 将 Verilog 中的一些功能合并到 VHDL 代码中。 可以直接在Quartus中实现吗? 或者有推荐的免费 Verilog2VHDL 转换器吗?

最佳答案

无法直接从 VHDL 调用 Verilog 函数。

您需要做的是将函数包装在模块中,以便每当输入更改时都会调用该函数,并对输出进行赋值。

module wrap_function(input arg1,arg2, output arg3);

function Vfunction(input arg1, arg2);
   begin
   // whatever 
   Vfunction = ...;
   end
endfunction

assign arg3 = Vfunction(arg1,arg2);
endmodule

然后您可以在类似的 VHDL 实体包装器中实例化该模块,该包装器具有修改输入并捕获输出的过程。

关于vhdl - 是否可以使用Quartus在VHDL代码中调用Verilog函数,我们在Stack Overflow上找到一个类似的问题: https://stackoverflow.com/questions/62137536/

相关文章:

concatenation - 在 VHDL 中连接位

触发器的 verilog 表示

testing - 我在实现 Verilog Test Fixture 来模拟我的设计时遇到问题

vhdl - 使用自定义包会导致循环依赖

verilog - 在Verilog中编写寄存器路径哪种方式更好

verilog - 宽度独立函数

compiler-errors - VHDL错误(10482)对象std_logic_vector已使用但未声明

vhdl - 对象已使用但未声明?

vhdl - __FILE__ 的 VHDL 等价物是什么?