file - VHDL 文件系统操作综合

标签 file vhdl operations synthesis

我有一个关于 VHDL 综合系统的问题,更准确地说是关于 IO 文件操作的问题。我的问题是,当写入 VHDL 代码时,综合系统是否对 write()、read() 等文件操作进行综合?例如,在这个 VHDL 代码中:http://www.csee.umbc.edu/portal/help/VHDL/samples/file_io.vhdl

我很难想象这些操作转换成芯片上的门......那么它是如何工作的?

谢谢你们! :)

汤姆。

最佳答案

你说得很对,文件操作一般不能综合。

一个可能的异常(exception):打开一个文件,将其内容读入 ROM,然后关闭该文件。诀窍在于,这一切都发生在返回数组的函数中,由初始化子句(即在声明中)调用,并在综合期间执行,因此结果是 ROM,而不是用于处理文件的硬件!请注意,综合工具实际上可能还不支持这一点,尽管实现它没有实际困难。 (我不知道哪些合成工具(如果有)支持它)。

那么为什么 VHDL 甚至有文件呢?对于测试平台,练习您的设计并记录测试结果。

关于file - VHDL 文件系统操作综合,我们在Stack Overflow上找到一个类似的问题: https://stackoverflow.com/questions/29298179/

相关文章:

vhdl - 与0或1比较,检测高阻抗

java - 未经检查或不安全的操作作业

c# - 判断文件是否为图片

python - 如何将文件中的字符串更改为列表中的字符串

java-如何计算段落之间的空格并从总行数中减去它们?

VHDL 给定位数,是否有更简洁的方法来设置特定位?

c# - 在 C# 中的快捷方式后面复制文件

function - 可以在通用类型上调用 VHDL 图像属性吗?

c# - 秒表(System.Diagnostics)和 System.Timers

c# - 如何生成一定长度的字符串以插入到文件中以满足文件大小标准?