chisel - 如何使用bundle/vec输入测试模块?

标签 chisel digital-logic

如何测试具有 Vec、Bundle 或这些组合的 IO 输入端口的模块?

换句话说,使用PeekPokeTester,如何正确poke() Vec、Bundle 或更复杂的这两种类型组合的端口?谢谢!

最佳答案

PeekPokeTester 具有用于 BundleVecpoke 方法,但我认为它们没有处理能力对于嵌套版本。

来自ScalaDoc (所有与 Chisel 相关的 ScalaDoc 都可以在 https://www.chisel-lang.org/ 找到):

def poke(signal: Aggregate, value: IndexedSeq[BigInt]): Unit
def poke(signal: Bundle, map: Map[String, BigInt]): Unit

这些接受类似于 BundleVec 的类型,但不幸的是,它似乎没有嵌套,这并不理想。

关于chisel - 如何使用bundle/vec输入测试模块?,我们在Stack Overflow上找到一个类似的问题: https://stackoverflow.com/questions/62545260/

相关文章:

scala - Chisel 中的电源操作符

chisel - 凿子可以将 printf 实现到文件吗?

scala - 如何在 I/O 端口中使用 chisel3.experimental.ChiselEnum?

chisel - chisel iotesters 中的多个时钟支持

scala - Chisel 既不为 verilog 也不为 C++ 合成

scala - 引用未完全初始化

verilog - verilog中的除数

computer-architecture - 静电危害1和一回路问题?

c - 与使用该语言的标准操作相比,用 C 语言对数字电路进行建模是否有任何实际好处?