process - VHDL - process() 什么时候第一次运行?

标签 process modeling vhdl

考虑:流程(a) 根据我的文字:

A process is first entered at the time of simulation, at which time it is executed until it suspends itself due to a wait statement or a sensitivity list.

我是否正确地推断即使敏感列表上没有任何事件,进程也必须运行一次?另外,如果一个架构中有多个进程,它们是否都执行一次呢?

最佳答案

AFAIK,敏感度列表(例如,process (x,y))只是 wait on x,y;end 之前的简写过程的过程(第 152 页,“VHDL 设计者指南”第 3 版)。所以所有程序都会至少运行一次。

关于process - VHDL - process() 什么时候第一次运行?,我们在Stack Overflow上找到一个类似的问题: https://stackoverflow.com/questions/1820548/

相关文章:

c++ - Linux API 列出正在运行的进程?

windows - 如何从 Windows 命令行获取应用程序退出代码?

ruby - 如何触发并忘记子流程?

visual-studio - 是否有 Visual Studio 工具来显示程序集的对象模型?

c++ - statm 不报告更新的 VmSize

3d - 我可以为 SceneKit 使用哪些 3d 建模程序?

uml - 为什么 IDEF 不流行而 UML 流行?

vhdl - 警告 : Design contains 1 high-fanout nets. 涉及这些网络的延迟计算将使用扇出数 1000。 (TIM-134)

string - 最适合填充字符串的字符

vhdl - vhdl 中逻辑与 (&&) 的等效项是什么?