for-loop - Verilog中的for循环如何执行?

标签 for-loop verilog

Verilog中的for循环是否并行执行?我需要多次调用一个模块,但它们必须同时执行。我没有将它们一一写出来,而是考虑使用 for 循环。它会一样吗?

最佳答案

Verilog 描述了硬件,因此在这种情况下考虑执行循环或调用模块是没有意义的。如果我正确理解您的问题的意图,您希望具有不同输入和输出的同一模块的多个实例化。

为此,您可以使用 Verilog 的 generate statements自动生成实例化。

您也可以使用 auto_template functionality在 Emacs 的优秀 verilog-mode .我更喜欢这种方法,因为每个实例化都明确出现在我的源代码中,而且我发现更容易检测错误。

关于for-loop - Verilog中的for循环如何执行?,我们在Stack Overflow上找到一个类似的问题: https://stackoverflow.com/questions/5227608/

相关文章:

c++ - 读取三重嵌套 for 循环

javascript - 标题大小写 JavaScript

r - 如何编写一个for循环来组合几个用R中的前向管道运算符制作的数据帧?

Verilog:如何将输入信号延迟一个时钟周期?

binary - 使用门级图理解二进制乘法器

c++ - 如何在verilog模块上运行SPECfp基准测试?

R:具有不同条件的 For 循环用相同的数据填充 2 个向量

python - 是否可以更改 if 语句中的 for 循环 header ?

loops - 如何编写一个测试平台来循环 4 个输入?

verilog - verilog 测试平台中的双向端口