verilog - verilog 测试平台中的双向端口

标签 verilog

我们如何将输入分配给 Verilog 测试平台中的双向端口?

我有一个设计和一个相关的测试平台。相关部分设计如下:

module i2cModule (
input   wire        mod_en , 
input   wire        rec_ack ,
input   wire        burst_write , burst_read ,
input   wire        [7 : 0] data_tx ,   
output  wire        [7 : 0] data_rx ,   
output  wire        ack ,
inout   wire    scl ,
inout   wire    sda 
) ;

// Some code here

send_command :
    begin   
        case (bit_counter)          // Parallel to serial convertor
            7   : sda_reg = ( !scl) ? data_tx[7] : sda_reg ;
            6   : sda_reg = ( !scl) ? data_tx[6] : sda_reg ;
            5   : sda_reg = ( !scl) ? data_tx[5] : sda_reg ;
            4   : sda_reg = ( !scl) ? data_tx[4] : sda_reg ;
            3   : sda_reg = ( !scl) ? data_tx[3] : sda_reg ;
            2   : sda_reg = ( !scl) ? data_tx[2] : sda_reg ;
            1   : sda_reg = ( !scl) ? data_tx[1] : sda_reg ;
            0   : sda_reg = ( !scl) ? data_tx[0] : sda_reg ;
        endcase 
        start_bit_counter = (scl && !bit_counter) ? 1'b0 : start_bit_counter ;
        i2c_next = (!start_bit_counter) ? wait_ack : send_command ;
    end

    wait_ack :
    begin
        ack_reg = ( scl) ? sda : ack_reg ;
        start_bit_counter = ( scl) ? 1'b1 : 1'b0 ;
        i2c_next = (scl && !ack_reg) ? (data_tx[7] ? rx_mode : tx_mode ) : wait_ack ;
    end

// Some code here

测试平台如下:

module i2c_test;

// Inputs
reg mod_en;
reg rec_ack;
reg burst_write;
reg burst_read;
reg [7:0] data_tx;

// Outputs
wire [7:0] data_rx;
wire ack;

// Bidirs
wire scl;
wire sda;

// Some stimulus here ; all stimulus in initial block

    mod_en = 1 ;
    data_tx = 8'b10101010 ;             // Write mode
    repeat (8) @ (posedge scl) ;    // Wait 8 clocks for command to be sent
    sda = 1'b0 ;                                            // slave ack
    @ (posedge scl) ;

针对目标设备的设计综合成功。但是,在测试台中,当我尝试驱动 sda 时,它给出了一个错误。此外,如果将 sda 更改为 reg,则它也表示输出不能为 reg 类型。

如何在测试台中驱动 sda

最佳答案

如果您需要从另一个模块或测试台驱动 bidir 信号,您可以这样做:

wire [7:0] input_value;
wire [7:0] bidir_signal;
reg [7:0] output_value;
reg output_value_valid;

mymodule myinstance (
  ...
  ...
  .bidir_signal(bidir_signal),
  ...
  ...
);

assign input_value = bidir_signal;
assign bidir_signal = (output_value_valid==1'b1)? output_value : 8'hZZ;

initial begin
  output_value_valid = 0;
  // use bidir_signal as input here so you can read its current value
  //
  $display ("Current value: %x\n", input_value);
  #100;
  // now we switch to output signal: we write value 10101010 in it
  output_value_valid = 1;
  output_value = 8'hAA;
  #100;
  $finish;
end

关于verilog - verilog 测试平台中的双向端口,我们在Stack Overflow上找到一个类似的问题: https://stackoverflow.com/questions/31391112/

相关文章:

verilog - 在verilog中使用for循环生成

algorithm - 快速、小面积、低延迟的部分排序算法

verilog - 在两个 1 之间填充 0's with 1' s(可合成)

Verilog 线设置为 X 而不是 1

verilog - Verilog 中的 For 循环

verilog - Systemverilog 中的多个时钟断言

比较数字排序然后得到中值

verilog - 零延迟环路

verilog - 了解简单的循环仲裁器 verilog 代码

filter - Verilog FIR 滤波器