verilog - 综合 Verilog 代码时如何消除敏感列表警告?

标签 verilog system-verilog synthesis

我收到警告:

One or more signals are missing in the sensitivity list of always block.

always@(Address)begin
  ReadData = instructMem[Address];
end

如何消除此警告?

最佳答案

Verilog 不需要敏感度列表中的信号名称。使用 @* 语法表示只要 always block 的任何输入信号发生变化,就应触发该 block :

always @* begin 
    ReadData = instructMem[Address]; 
end 

关于verilog - 综合 Verilog 代码时如何消除敏感列表警告?,我们在Stack Overflow上找到一个类似的问题: https://stackoverflow.com/questions/2687191/

相关文章:

simulation - 如何使用后置布局和/或后综合仿真跟踪 FPGA/ASIC 开发中的错误?

verilog - 是否有系统 verilog 任务返回 reg/logic 的长度?

vhdl - 查询用于 IC 设计(非 FPGA)的 VHDL 合成,特别是在变量分配的情况下

verilog - 如何将固定分数应用于整数

verilog - 什么是“+:”和“-:”?

case - System Verilog - case with or

verilog - 从 RAM 深度开始的地址宽度

verilog - 具有独特参数的 SystemVerilog 接口(interface)数组

gtk - 如何在 Windows 上安装 GTKWave?

arrays - $size, $bits, verilog