chisel - Chisel3.2上的文件导入

标签 chisel

我想分隔具有多个类的单个文件,并按其类别来构造目录。 例如目录;

root-+-PE.scala
     |
     +-noc-+-InLink.scala
     |     |
     |     +-OutLink.scala
     |
     +-alu-+-Adder.scala
     |     |
     |     +-Multiplier.scala
     |
     +-util-+-PipelineRegister.scala
            |
            +-Token.scala

InLinkOutLink 想要引用 Token.scala 文件。 我在每个文件中声明

引用Token.scala,

    InLink.scalaOutLink.scala 中的
  • import util._ 无法引用 Token.scala 中的类> 文件。

你能猜出我对导入的误解在哪里吗?

最佳答案

您可以有多个属于同一个包的文件,并且每个文件可以有多个类。在这种情况下,您可以直接使用 import package_name.class_name 导入特定文件中的特定类。因此,如果您希望 inLink 和 Outlink 在每个文件中引用 token.scala 中的类,只需添加 import package_name.class_name_inside_token.scala_that_you_want_to_reference

关于chisel - Chisel3.2上的文件导入,我们在Stack Overflow上找到一个类似的问题: https://stackoverflow.com/questions/59146921/

相关文章:

scala - 是否有一个如何从 Chisel3 模块生成 verilog 的简单示例?

scala - 当我想要构建功能模块创建时如何处理 Vec 输入

scala - 凿子移位寄存器示例

与 "<>"运算符凿部分批量连接

scala - 什么是 Chisel 中的克隆

riscv - 凿子——时钟门控

chisel - if-otherwise 语句与 Mux 语句

凿子同步

scala - 使用 sbt 构建凿子时,如何关闭进度条等以便输出干净?

chisel - 如何初始化向量寄存器?