javascript - Javascript 将这些值相乘是错误的吗?

标签 javascript multiplication

好吧,我知道什么是机器精度,但是这个,我无法理解...

代码:

 console.log("meanX",meanX);
 meanX2 = meanX * meanX;           //squared
 console.log("meanX2",meanX2);

控制台输出:

meanX 300.3
meanX2 28493.4400000000002

如果您想知道,meanX2 的正确值为 90180.09 这只是屏幕截图中可见的众多示例之一。

See with your own eyes

.toFixed(6) 似乎可以解决这个问题......但我不知道为什么没有它它就不能工作。

编辑

好吧,我不想在这里发布整个程序代码,因为首先我不是唯一的作者,其次,我也不希望未经我们许可就复制它。但我很乐意解释我是如何得到这个错误的,并将在此处发布整个方法/函数代码。

您可能已经从窗口标题中猜到,这段代码属于车道检测算法。我们使用 Three.js/webgl 在视频的每一帧上运行一些预处理着色器,然后我们分析生成的图像。您在屏幕截图上看到的方法/函数是一种垂直线拟合算法,是整个算法的一部分。 我可以看到算法运行良好,因为我在视频上方绘制了车道,而且位置很好。直到突然车道变成单杠。这种意外行为的发生正是因为我在此处描述的现象,因为从那一刻起,我开始在控制台中看到错误的数学运算。

另外,由于视频和算法每次运行的 fps 略有不同,问题并不总是发生在视频的同一时刻,有时甚至根本不会发生。

这是代码(它有一些改动,因为我试图隔离问题):

this.perpendicularLineFit = function (points, slopeSign) {
    var count = points.length;
    var sumX = 0,
        sumY = 0;
    var sumX2 = 0,
        sumY2 = 0,
        sumXY = 0;
    var meanX, meanY;
    var i, lowp = {}, highp = {};
    var B;
    var slope;
    var originY;

    for (i = 0; i < count; i++) {
        sumX += points[i].x;
        sumY += points[i].y;
        sumX2 += points[i].x * points[i].x;
        sumY2 += points[i].y * points[i].y;
        sumXY += points[i].y * points[i].x;
    }

    meanX = sumX / count;
    meanY = sumY / count;

    //If you uncoment this, problem reappears: 
    //var numeratorLeft = meanY * meanY;
    console.log("meanX",meanX);
    var meanX2 = meanX*meanX;
    console.log("meanX2",meanX2);

    var numerator = (sumY2 - count * (meanY * meanY)) - (sumX2 - count * meanX2);
    var denominator = (count * meanX * meanY - sumXY);  
    B = 0.5 * (numerator / denominator);


    slope = -B + slopeSign * Math.sqrt(B * B + 1);
    originY = meanY - slope * meanX;

    slope = isNaN(slope) ? slopeSign : slope;
    originY = isNaN(originY) ? originY : originY;

    lowp.y = this.lowY;
    lowp.x = (this.lowY - originY) / slope;
    highp.y = this.highY;
    highp.x = (this.highY - originY) / slope;

    return {
        low: lowp,
        high: highp
    };
};

现在,我试图了解是什么原因造成的,最奇怪的是,当我放置这种形式的声明时,似乎

var x = ... meanY * meanY ...;

在 meanX2 属性之前,问题发生了。否则它不会。

另外,我试图在调试器中捕捉到这个异常,但当我进入调试选项卡时,问题就消失了。值再次变为正确。

我当然不相信黑魔法,而且我知道您可能对此持怀疑态度。 我也会。但这里有一个视频的链接,展示了它的发生: The video

编辑2:

我设法在另一台计算机上重现了这个问题。既有 ubuntu 也有使用 firefox(版本 20 和 21)。

编辑3:

抱歉耽误了您的时间!这是一个包含问题的 zip。只需在任何网络服务器上运行它。提到的代码在 LaneDetection.js 中。在文件中搜索“HERE”即可找到它。

https://docs.google.com/file/d/0B7y9wWiGlcYnYlo1S2pBelR1cHM/edit?usp=sharing

问题在第一次尝试时可能不会发生。如果是这种情况,请刷新页面并重试。当线条变成水平线时,您就知道它就在那里。正如我所说,我看到这个问题发生在 ubuntu 上的 firefox 版本 20 和 21 中。在 Chrome 中它从未发生过。

顺便说一句,我注意到在 firefox 中更改 javascript.options.typeinference 标志似乎可以解决问题......我不知道该标志的确切作用,但也许这种优化在 firefox 中没有正确实现?

最佳答案

我不能肯定地说我确实有答案,但我认为我已经确认 basilikum 提出内存问题是正确的。这是我所做的:我从您的屏幕截图中提取了前十个条目并计算出正确答案。然后我将正确答案和错误答案转换为 double float 的十六进制表示。我最终得到的是以下内容:

292.416^2 = 85507.506 = 40F4E0381C71C71E
 changed to 27583.373 = 40DAEFEB1C71C722

293.166^2 = 85946.694 = 40F4FBAB1C71C72A
 changed to 27583.373 = 40DAEFEB1C71C722

295.818^2 = 87508.396 = 40F55D4658DC0876
 changed to 28041.024 = 40DB62419637021F

294.500^2 = 86730.250 = 40F52CA400000000
 changed to 27583.373 = 40DAEFEB1C71C722

297.000^2 = 88290.000 = 40F58E2000000000
 changed to 28041.024 = 40DB62419637021F

221.750^2 = 49173.062 = 40E802A200000000
 changed to 24964.000 = 40D8610000000000

300.300^2 = 90180.090 = 40F6044170A3D70A
 changed to 28493.440 = 40DBD35C28F5C290

220.200^2 = 48488.040 = 40E7AD0147AE147B
 changed to 25408.360 = 40D8D0170A3D70A4

300.600^2 = 90360.360 = 40F60F85C28F5C29
 changed to 28493.440 = 40DBD35C28F5C290

213.000^2 = 45369.000 = 40E6272000000000
 changed to 28032.326 = 40DB6014E5E0A72E

更改没有持久模式,但有几个实例非常说明内存问题。在前两个条目中,您可以看到字节 1、2 和 3 没有变化。在第 9 个条目中,有一些更奇怪的东西。看起来字节 0 - 3 正好向左移动了 4 位!考虑到问题直到经过一段时间后才会出现的说法,并鉴于这两个异常情况,我相当有信心您遇到了某种内存问题。我敢说,这会不会是堆栈溢出?

关于javascript - Javascript 将这些值相乘是错误的吗?,我们在Stack Overflow上找到一个类似的问题: https://stackoverflow.com/questions/16889409/

相关文章:

javascript - Node JS - 使用路由器添加更多页面(ejs 模板)

javascript - Node Canvas : output PNG file as interlaced/progressive

c++ - MOD 运算是否比乘法更占用 CPU?

r - 如何计算 R 中多个向量组合的乘积?

javascript - 在 Javascript 中向数组添加属性——糟糕的主意?

javascript - 如何从NodeJS获取单个数据并在VueJS中显示?

javascript - 如何在Chrome本地存储获取和设置中使用动态键?

java - 在 Java 中为泛型预定义乘法

r - R中许多矩阵的乘法

java - 将二维 ArrayList 与 vector 相乘