vhdl - 如何在 VHDL 97 和 ISim 中获取内部信号到测试平台?

标签 vhdl simulation test-bench

我正在使用 VHDL 97 和 Xilinx ISim 的测试平台中工作,我正在寻找一种方法来从代码而不是波形中了解 UUT 内部信号(如 Modelsim 中的 spy 功能)的值。

我知道我可以使用 VHDL-2008 和 alias 轻松完成,但有人知道任何替代方案吗?

谢谢

最佳答案

第1步:在包中声明全局信号

package SpyOnMySigPkg is
  -- synthesis translate_off 
  signal GlobalMySig : std_logic ; 
  -- synthesis translate_on 
end package SpyOnMySigPkg ; 

第 2 步:引用该包并分配给设计中的全局信号:

use work.SpyOnMySigPkg.all ;
entity MyDesign is 
....
    -- synthesis translate_off 
    GlobalMySig <= MySig ; 
    -- synthesis translate_on 

第 3 步:引用该包并读取测试平台中的信号:

use work.SpyOnMySigPkg.all ;
entity tb is 
....
  process (GlobalMySig) 
  begin
    if GlobalMySig = '1' then ...

关于vhdl - 如何在 VHDL 97 和 ISim 中获取内部信号到测试平台?,我们在Stack Overflow上找到一个类似的问题: https://stackoverflow.com/questions/40286725/

相关文章:

java - 使用高级编程语言的简化 MIPS CPU

verilog - 从测试台访问子模块中的输入和输出

verilog - 尝试模拟计数器时出现“非法输出或输入端口”错误

vhdl - 目标(变量 "")不是 VHDL 中的信号错误

case - VHDL:使用是否正确无关?

python - 一起使用模拟 (MyHDL) 和 wxPython

c - 用VHDL编写C语句

vhdl - 进程语句中的(并发)信号分配是顺序的还是并发的?

vector - 初始化向量中的值

c++ - 是否可以以每秒 60 次的速度从点数据构建热图?