verilog - EDAplayground错误: Execution interrupted or reached maximum runtime

标签 verilog system-verilog test-bench edaplayground

我的代码中有一些错误,但我找不到我的代码有任何问题。 EDA Playground 说:

Execution interrupted or reached maximum runtime.

这是我的代码:

forever #5 clk = ~clk;

最佳答案

您的测试平台包括以下几行:

forever
#5 clk = ~clk;

此代码将永远继续执行。 (线索就在语法中。)因此,你的模拟永远不会停止。 EDA Playground 的最大运行时间为 1 分钟,因此您的模拟将在此之后终止。因此您的错误消息。

完成后,您需要停止此代码的执行。你需要这样的东西:

  reg clk, clear, go;

  ...

  initial 
  begin  
    go = 1'b1;
    ...
    while (go)
    #5 clk = ~clk;
  end

  initial begin
    $dumpfile("systolic_array1.vcd");
    $dumpvars(1,systolic_array);
    #10
    ...
    go = 1'b0;
  end

https://www.edaplayground.com/x/4BCg

关于verilog - EDAplayground错误: Execution interrupted or reached maximum runtime,我们在Stack Overflow上找到一个类似的问题: https://stackoverflow.com/questions/56556825/

相关文章:

verilog - 如何获得 Verilog 语言标准?

binary - Verilog,与变量的不相等位进行比较

port - 在 Verilog 中,如何在实例化时定义端口的宽度?

functional-programming - VHDL 或 Verilog 中的高阶函数

verilog - 如何从 SystemVerilog 中的函数返回动态结构数组

verilog - '1在verilog中是什么意思?

oop - 使用 $cast 函数和任务在 SV 中进行动态转换

system-verilog - 如何获取覆盖点的句柄?

VHDL-2008 不断强制外部名称

vhdl - 在 ModelSim 中使用测试平台而不是 ".do"文件有什么优势?