verilog - 模块输出中的串联

标签 verilog system-verilog

当我实例化一个模块并且我只关心输出中的一些位时,是否有一种简写语法可以丢弃这些位?类似的东西

my_module module_instance
( 
 .some_output({garbage1,important1[7:0]})
);

在这种情况下,my_module中的信号some_output是9位宽,但我只想将低8位粘贴到important1 。我可以用所有 9 位创建一个信号,然后从中选择 8 位,我知道编译器会优化它,但我正在寻找一个习惯用法或缩写。

最佳答案

如果您在模块中参数化输出端口的宽度,然后将参数传递给实例,则无需创建信号来丢弃未使用的位:

my_module module_instance #(.WIDTH(8))
( 
 .some_output(important1[7:0])
);

关于verilog - 模块输出中的串联,我们在Stack Overflow上找到一个类似的问题: https://stackoverflow.com/questions/6820102/

相关文章:

system-verilog - System Verilog always_latch 与 always_ff

c - 在系统 verilog 代码中集成 fftw C 函数调用

system-verilog - 在一个界面中驱动两个不同的序列项

c++ - 如何即时更新标题

Verilog-A 和 Verilog ;它们是一样的吗?

class - 系统Verilog : registering UVM test with the factory

verilog - verilog 状态机什么时候会出错?

simulation - 零件选择通过电线时在模拟器中表现异常

verilog - verilog中的u0是什么?

verilog - 如何在Chisel3中进行门级仿真?