types - 无法在 Verilog 中使用整数

标签 types integer verilog

我一直在试图找出为什么我在使用时遇到“无效的模块实例化”:

integer counter = 0; 
counter = 1; // <-- getting the error here

尝试使用 reg[7:0] 而不是整数时,我遇到了相同的错误。

有人知道为什么吗?

最佳答案

没有上下文的赋值非常无用,并且没有任何意义,因此会出现错误。分配在具有敏感度列表的 block 内有效,或作为连续分配的一部分,或在“初始”等模拟 block 内有效。

关于types - 无法在 Verilog 中使用整数,我们在Stack Overflow上找到一个类似的问题: https://stackoverflow.com/questions/14199150/

相关文章:

ruby - 将多个 .length 调用添加在一起

java - 将 int 中的每个整数作为单独的 int

verilog - 零延迟环路

php - 性能: Empty string or null in PHP

types - 具有参数类型的第一类模块(类型构造函数 F.f 将逃脱其范围)

c++ - 由于大整数 C++,简单的素数查找器卡住

verilog - `always` block 的合成

mysql - 是否可以在 MySQL 中定义一个未指定返回类型的存储函数?

java - 我可以声明返回 Class 对象的方法,但限制为 Java 中给定类型的子类吗?

verilog - 三角波形 verilog