vhdl - 如何使用 Modelsim SE 仿真 Altera 宏功能

标签 vhdl fpga modelsim

___大家好。我已经使用 Quartus II 中的 Megawizard 实例化了一个 PLL。然后我想使用 ModelSim SE 进行仿真,因为 Quartus II 10.1 没有内置仿真器。我将builtInPLL.vhd(Megawizard 的输出)和PLL_tb.vhd(测试平台)复制到ModelSim 项目的目录中。但是当我编译builtInPLL.vhd时,它给出了以下错误消息:

Error: builtInPLL.vhd(39): Library altera_mf not found.
Error: builtInPLL.vhd(40): (vcom-1136) Unknown identifier "altera_mf".

builtInPLL.vhd 中的相关行:

LIBRARY altera_mf;
USE altera_mf.all;

我用谷歌搜索了这些消息,但发现没有什么真正有用的。我从 ..\eda\sim_lib 复制了 altera_mf.vhd 并使用 builtInPLL.vhd 进行编译。 Modelsim仍然给了我上面的信息。并且在工作目录下创建了许多后缀为.dat.dbs的文件。它们是什么?

altera_mf 库位于哪里?以及如何使用 ModelSim SE 模拟宏功能。
任何帮助将不胜感激。谢谢。

最佳答案

标准 ModelSim SE 不支持 Altera 库,因此您必须 安装 Altera 特定库,例如 altera_mf。有一个例子 用于此的 Tcl 脚本位于 ModelSim Tcl Scripting ExamplesLibrary Setup Script (VHDL) .

作为替代方案,您可以考虑使用 ModelSim-Altera 版本,该版本 附带预装的 Altera 库,例如 altera_mf。这个模型模拟 版本可以在 ModelSim-Altera Software 找到。 甚至还有一个名为“ModelSim-Altera Starter Edition”的免费版本。

关于vhdl - 如何使用 Modelsim SE 仿真 Altera 宏功能,我们在Stack Overflow上找到一个类似的问题: https://stackoverflow.com/questions/18764050/

相关文章:

vhdl - 无法让简单的位序列识别器电路工作(FSM)

vhdl - 如何在 VHDL 中使用 "function"从同一个计算中返回多个变量?

vhdl - 等到 <signal>=1 在 VHDL 仿真中永远不会为真

ubuntu - Ubuntu 上的 ModelSim

VHDL - 增加按钮事件的寄存器值

windows - ghdl 不生成二进制文件 (windows)

VHDL-2008 不断强制外部名称

verilog - 系统 Verilog 总线路由

vhdl - VHDL 保护类型数组

linux-kernel - 如何使用 Nios II MMU 和 Linux 4.9 启用 SD 卡