verilog - 功能覆盖 - 收集其他 bin 中未收集的所有值的 bin

标签 verilog system-verilog verification uvm

ipv6_hdr__f_next_header_cp: coverpoint  this.ipv6_hdr.ipv6_f_next_header iff (this.has_ipv6_header){
    bins ipsec_33 = {'h33};
    bins tcp_06 = {'h06};
    bins udp_11 = {'h11};
    bins done_3b = {'h3b};
    bins ipv6_ext_any_other [3] = {[0:'h5], ['h7:'h10], ['h12:'h3a], ['h3c:$]};
}

ipv6_ext_any_other bin 应收集其他 bin 未收集的所有值。

default 可能会被使用,但问题是 default 不是一个 active bin,我的意思是,它不是func cov 指标。

还有其他方法可以定义ipv6_ext_any_other bin吗? 或者,有没有办法使默认成为事件垃圾箱?

最佳答案

你可以做到

bins ipv6_ext_any_other [3] = {[0:$]} with (!(item inside {'h33,'h06,'h11,'h3b}));

另请参阅https://accellera.mantishub.io/view.php?id=4698

关于verilog - 功能覆盖 - 收集其他 bin 中未收集的所有值的 bin,我们在Stack Overflow上找到一个类似的问题: https://stackoverflow.com/questions/65687867/

相关文章:

hash - 使用 2 个不同的哈希函数是检查文件完整性的好方法吗?

Verilog 案例陈述

unit-testing - 如何进行仅在断言失败时通过的测试?

verilog - Systemverilog 中的多个时钟断言

random - 在systemverilog中使用随机随机1位和2位错误

java - JVM 如何在加载类时验证没有潜在的操作数堆栈溢出?

SwiftyStoreKit。如何在不输入密码的情况下验证订阅?

verilog - 如何在 Verilog 中将模块属性设为 "publish"?

verilog - 什么是在编译时抛出错误的 LINT/综合安全语句?

module - 将参数传递给 Verilog 模块