functional-testing - SV : How to create functional coverage for transitions without having to worry about clock cycles?

标签 functional-testing system-verilog function-coverage

通常情况下,我会针对某个过渡使用长时间序列的重复方法。

即。

  covergroup test1 @(posedge clk)
  coverpoint( signal[1], signal[0]) 
 {
  bins transition1 = (2'b00[*1:100] =>2'b11[*1:100] => 2'b00) 
 }

是否有另一种方法可以检查多个转换但没有设置重复限制。澄清一下,我希望能够检查某些转换是否发生,但不关心时间(时钟周期)。

最佳答案

不要为覆盖组定义采样事件,即没有 @(posedge clk) 在没有 [*1:100] 的情况下定义覆盖点并使用 covergroup_name.sample()

进行采样

关于functional-testing - SV : How to create functional coverage for transitions without having to worry about clock cycles?,我们在Stack Overflow上找到一个类似的问题: https://stackoverflow.com/questions/17959476/

相关文章:

verilog - 尝试使信号保持高电平 5 个时钟周期,然后保持低电平

system-verilog - 如何覆盖请求和响应之间的延迟

python - 如何自动执行 Windows 驱动程序和二进制文件的防病毒/WSUS 补丁测试?

python - 使用 Falcon 的测试模块模拟多部分/表单数据文件上传

php - 如何在 symfony2 功能测试中测试表单事件和事件监听器?

verilog - 为什么我们在 Verilog/Systemverilog 中使用 Always Block 设计的组合电路中使用 Blocking 语句?为什么不是非阻塞?

system-verilog - Array.sum() 返回意外值

system-verilog - 如何获取覆盖点的句柄?

linux - 构建机器上的 WebDriver