VHDL - 设计库不包含单元

标签 vhdl

我有以下架构:

architecture datapath of DE2_TOP is
begin
U1: entity work.lab1 port map (
    clock => clock_50,
    key => key,
    hex6 => hex6,
    hex5 => hex5,
    hex4 => hex4
);
end datapath;

我收到以下错误:Error (10481): VHDL Use Clause error at DE2_TOP.vhd(276): design library "work"does not contain primary unit "lab1" on the line : U1: 实体 work.lab1 端口映射 (。有人知道是什么原因造成的吗?

最佳答案

在编译 DE2_TOP 之前,您至少需要编译 lab1entity

关于VHDL - 设计库不包含单元,我们在Stack Overflow上找到一个类似的问题: https://stackoverflow.com/questions/14491912/

相关文章:

vhdl - Xilinx ISE block ram 推理的稳健性

vhdl - 在非时钟信号上使用“事件属性”是不好的做法吗?

gtk - 如何在 Windows 上安装 GTKWave?

没有clk的Vhdl

vhdl - 我想检查 std_logic_vector 是否包含负整数

syntax - VHDL - 在计算通用参数后调整端口大小

vhdl - 使用 QuestaSim 编译 VHDL 时出现警告 "Range choice direction does not determine aggregate index range direction"

vhdl - 在 VHDL 中创建低时钟频率的替代方法

vhdl - 组件声明有什么用处?

VHDL 8位计数器