arrays - vhdl:将向量转换为字符串

标签 arrays string vector type-conversion vhdl

如何将 std_logic 向量、bit_vector 或任何其他向量转换为字符串?

Signal a,b          : UNSIGNED(7 DOWNTO 0);
SIGNAL x,y,z    : BIT_VECTOR(7 DOWNTO 0);

...

report "value: " & BIT_VECTOR'Image(x) severity note;
report "and this one: " & to_string(a) severity note;

这不起作用,那么如何将向量转换为字符串?

最佳答案

VHDL-2008 标准定义了 to_stringstd_logic_vectorstd_ulogic_vector ,以及各种其他类型。使用 VHDL-2008 模式可能是最简单的(现在大多数模拟器都支持 2008)。

关于arrays - vhdl:将向量转换为字符串,我们在Stack Overflow上找到一个类似的问题: https://stackoverflow.com/questions/15406887/

相关文章:

Javascript 数组对象未定义

c - 为什么要从字符串中删除最后一个字符?

python - 将数据文件解析为二维数组

c++ - 存储 strtok() token 的值?

c++ - 如何打印出 vector 的内容?

c++ - 具有多维 vector 的超立方体

python - 如何将列向量组合成矩阵

javascript - JavaScript 中的按位运算符作为状态标志

java - 在字符串数组中查找字符的频率

python - 将文件格式化为包含价格、产品名称和数量的列表