syntax-error - 文本 “genvar”附近的test_bench_lb2.v(14)处的Verilog HDL语法错误;期待 “end”

标签 syntax-error verilog

我是Verilog的新手,我需要编写一个简单的测试平台,但是出现错误,我无法理解为什么它是
这是我的代码

`timescale 1 ns / 1 ns

module test_bench_lb2;

reg [12:0] in_lines_tb;
wire [4:0] out_lines_tb;
wire error_tb;

localparam  PERIOD = 10;


initial
    begin
        genvar i;
        for(i = 0; i <= 8000; i = i + 1)
            begin
                in_lines_tb = i;
                #PERIOD;
            end
        #(PERIOD*20) $stop;
    end

    
    initial
        begin
            $monitor("time = %time in_lines = %b out_lines = %b error = %b",
                        $time, in_lines_tb, out_lines_tb, error_tb);
        end


    DESHIFRATOR inst1(.in_lines(in_lines_tb), .out_lines(out_lines_tb), .error(error_tb));
    
endmodule

最佳答案

这个

    genvar i;
应该是这个
    integer i;
genvar是一种特殊类型的变量,用于称为生成循环的构造中。您的代码使用普通的for循环。

关于syntax-error - 文本 “genvar”附近的test_bench_lb2.v(14)处的Verilog HDL语法错误;期待 “end”,我们在Stack Overflow上找到一个类似的问题: https://stackoverflow.com/questions/64787188/

相关文章:

module - verilog 中模块的实例化

php - 我的php代码出现意外的T_DOUBLE_ARROW错误

php - 注意:尝试获取第 19 行/home/.../Welcome.php 中非对象的属性

Verilog:if 语句和 case 语句之间的区别

verilog - 实数的格式规范

algorithm - 在 Verilog 中拆分位数组

c# - 错误CS1955 : The member 'System.Collections.Generic.List<System.Action>.Count' cannot be used as a method or delegate

javascript - 语法错误 : unterminated string literal var address = "

java - Postgres : syntax error at or near "as"

verilog - 环形振荡器改变频率?