verilog - x和z之间的差异

标签 verilog

在阅读Verilog的语法时,我遇到了四个逻辑值:0 1 x z
在网上搜索后,试图找到xz之间的区别,我只发现x是未知值,而z是高阻抗(三态)。我认为我了解x的定义,但不太了解z的定义-这意味着“高阻抗(三态)”是什么意思?

我想为两个逻辑值中的每个逻辑值提供一个示例:x z

最佳答案

Z表示信号处于高阻抗状态,也称为三态。连接到它的另一个信号可以更改该值:0将其拉低,1将其拉高。

要了解阻抗(并因此了解高阻抗),您应该对电阻,电压和电流及其由欧姆定律定义的关系有所了解。

我无法给您提供“X”或“Z”的示例,就像我无法给您提供“1”或“0”的示例一样。这些只是信号状态的定义。实际上,在Verilog中,有四个以上的州。有七个优势。
(请参阅this网页)。

这是芯片输出端口如何使零,1或Z的原理图。实际上,开关是MOSFET。

enter image description here

三态信号不再在芯片内部或FPGA内部使用。它们仅在外部用于将信号连接在一起。

关于verilog - x和z之间的差异,我们在Stack Overflow上找到一个类似的问题: https://stackoverflow.com/questions/49987012/

相关文章:

recursion - 递归在 Verilog 中是如何工作的?

verilog - SystemVerilog `force` 是如何工作的?

verilog - verilog 中的指数

verilog - 防止编译器优化逻辑

hardware - 卷积编码器的终止与状态寄存器重置

command-line-arguments - 如何在systemverilog中获取作为plusargs的值数组?

verilog - 为什么我在 verilog 中收到 "Incompatible types at assignment"错误?

verilog - 模拟器和架构之间的信号门控差异

基于参数值的 Verilog 条件硬件

verilog: "... is not a constant"