vim - 如何使用vim自定义缩进文件?

标签 vim binary indentation

我最近阅读 this article与在 Vim 中缩进源文件有关。但是,我希望能够自定义缩进其中一些。有没有办法指定当我按下 = 时运行哪个二进制文件?

最佳答案

从帮助:

={motion} 通过外部程序过滤 {motion} 行
与 'equalprg' 选项一起给出。当'equalprg'
选项为空(这是默认值),请使用
内部格式化函数|C-indenting|。但当
'indentexpr' 不为空,它将被使用
|缩进表达式|。

看起来像设置 equalprg选项就是你想要的。

关于vim - 如何使用vim自定义缩进文件?,我们在Stack Overflow上找到一个类似的问题: https://stackoverflow.com/questions/554815/

相关文章:

bash - 将 jj 映射到 inputrc(readline)中的 Esc

python - Jedi 没有找到项目中的所有用法

user-interface - 通过 ssh 进行简单的基于 GUI 的 gdb 调试

binary - 打字时如何表示不同的数字系统?

vim - 如何在 Vim 中快速选择(内部)行

javascript - Neovim 在没有配置的情况下执行不需要的 Linting

Haskell Stack 构建特定的可执行文件

java - 如何在java中按位移动二进制字符串?

Notepad++:禁用空行后自动缩进

haskell - 让缩进在 proc 表示法中