vhdl - VHDL中奇怪的XNOR行为

标签 vhdl fpga xilinx

导致问题的代码看起来像是普通的xnor操作,如下所示:

S(1) <= L(16) xnor L(26);

此行导致以下错误:
ncvhdl_p: *E,EXPSMI (HDL/aes_sbox_enc_depth16.vhd,169|14): expecting a semicolon (';') [9.5.1].
ncvhdl_p: *F,MAXERR: maximum error count reached (1).
TOOL: ncvhdl 10.20-s075: Exiting on Feb 14, 2012 at 12:56:05 GMT (total: 00:00:01)

任何人都知道这里出了什么问题,分号显然就在那里。 VHDL是否有可能
不支持xnor,如果支持,我该如何重写它?

非常感谢!

最佳答案

我相信xnor是为位和 bool 值定义的,但不是为std_logic定义的。我认为这实际上取决于您使用的是哪个版本的VHDL(例如98/2002/2008)。我见过的某些std_logic_1164.vhd文件版本肯定已对此进行了注释。

仅反转xor怎么样?

S(1) <= not (L(16) xor L(26));

关于vhdl - VHDL中奇怪的XNOR行为,我们在Stack Overflow上找到一个类似的问题: https://stackoverflow.com/questions/9277353/

相关文章:

vhdl 将不受约束的 std_logic_vector - lsb 分配给 msb 或将 msb 分配给 lsb

hardware - 在verilog中增加计数器变量: combinational or sequential

add - 华莱士树和达达乘数之间的差异

c - microblaze 中的 printf 用于十六进制到 char

linux - 管理来自自定义硬件的中断

Linux 网络驱动程序 MSI 中断问题

vhdl - 初始化动态 VHDL 阵列

random - FPGA 随机 LED 闪烁(4 个 LED)

process - 输入和输出端口的行为是否像触发器? (VHDL)

vhdl - 如何在 VHDL 测试平台中轻松分组和驱动信号