system-verilog - SystemVerilog中动态数组参数的默认值

标签 system-verilog

根据 SystemVerilog LRM 3.1a (p.38),可以将动态数组作为参数传递给函数任务:

 task foo( string arr[] );

是否可以为此参数分配默认值(零大小的数组)?有点像我们可以用其他参数做的:
task foo2(int i = -1, byte z = 0); 

最佳答案

终于找到了答案。可以在声明时执行类似 C 的数组初始化。好像:

task foo ( byte bar[] = '{} );

顺便说一下,LRM 中似乎没有提到这种可能性。

关于system-verilog - SystemVerilog中动态数组参数的默认值,我们在Stack Overflow上找到一个类似的问题: https://stackoverflow.com/questions/25805021/

相关文章:

verilog - SystemVerilog 将一系列任务转换为单独的文件而不损失可读性

verilog - 如何在测试台上运行 verilog 代码?

verilog - 使用串联和大小写时,移位器输出始终为 0

javascript - 使用 for 循环将字符串变量分配给数组

vector - 系统verilog中的打包向量与未打包向量

system-verilog - 如何在语句内的 case 范围内使用实际值?

system-verilog - 为什么我应该在 System Verilog 中使用未打包的向量?

unit-testing - SystemVerilog 程序 block 与传统测试平台

system-verilog - 实例数组的非常量索引

verilog - 我可以在 systemverilog 中合成一个使用结构作为参数的参数化函数吗?