counter - "Warning C0007 : Architecture has unbound instances"问题!

标签 counter vhdl digital-design

我从“数字设计基础”一书随附的 CD 中获得了以下源代码。

当我尝试运行该程序时,出现以下错误:

Compiling Fig17_13.vhd...
C:\Users\SPIDER\Desktop\EE460\The Final Project\Fig17_13.vhd(25): Warning C0007 : Architecture has unbound instances (ex. ct2)
Done

如何解决这个问题?

这是代码:

library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;

entity c74163test is
    port(ClrN,LdN,P,T1,Clk: in std_logic;
       Din1, Din2: in std_logic_vector(3 downto 0);
       Count: out integer range 0 to 255;
       Carry2: out std_logic);
end c74163test;

architecture tester of c74163test is
    component c74163
       port(LdN, ClrN, P, T, Clk : in std_logic;  
         D: in std_logic_vector(3 downto 0);
       Cout: out std_logic; Qout: out std_logic_vector(3 downto 0) );
    end component;
    signal Carry1: std_logic;
    signal Qout1, Qout2: std_logic_vector(3 downto 0);
begin
    ct1: c74163 port map (LdN,ClrN,P,T1,Clk,Din1,Carry1, Qout1);
    ct2: c74163 port map (LdN,ClrN,P,Carry1,Clk,Din2,Carry2,Qout2);
    Count <= Conv_integer(Qout2 & Qout1);
end tester;

最佳答案

您之前是否真正阅读过实例化设计(我猜它在 Fig17_12.vhd 中)?否则你的实例只是一个黑匣子(我猜这就是“未绑定(bind)实例”的意思)。

关于counter - "Warning C0007 : Architecture has unbound instances"问题!,我们在Stack Overflow上找到一个类似的问题: https://stackoverflow.com/questions/6151584/

相关文章:

javascript - 如何使用 javascript 对动态创建的行进行计数

c++ - ghdl 缺少 util.misc_conv_pkg ubuntu 14.04

generics - VHDL 中可变数量的输入和输出

python - 如何在 Cocotb 中指定记分板的比较功能?

verilog - 为什么我们在使用 Vivado 在 Verilog 中形成 T 触发器时必须添加 "clr"(干净的输入线)?

php - 计算“添加到购物车”的点击次数并将其显示在 WooCommerce 管理产品列表中

CSS 计数器无法在 <ul> 内递增

java - if 语句中的变量递增