vhdl - 如何从 Spartan 6 写入 Nexys 3 FPGA 板上的 Micron 外部蜂窝 RAM?

标签 vhdl ram fpga xilinx

我到处都查过了,数据表、Xilinx 网站、digilent 等等,但什么也没找到!我能够使用 Adept 工具来验证我的蜂窝 RAM 是否正常运行,但我找不到任何库存 VHDL 代码作为 Controller 来写入数据和从中读取数据!帮助!!

最佳答案

找到了此链接,但它适用于异步模式,速度不够快: http://embsi.blogspot.com/2013/01/how-to-use-cellular-ram-from-micron.html

最终在 Nexys 2 Digilent 页面上找到了这个: http://www.digilentinc.com/Products/Detail.cfm?Prod=NEXYS2 在下面 “板载内存 Controller 引用设计” 遗憾的是,这没有包含在 Nexys 3 详细信息中,因为它可以节省大量时间! 希望遇到此问题的其他人至少可以找到我在这里发布的内容并快速找到它......

关于vhdl - 如何从 Spartan 6 写入 Nexys 3 FPGA 板上的 Micron 外部蜂窝 RAM?,我们在Stack Overflow上找到一个类似的问题: https://stackoverflow.com/questions/18860626/

相关文章:

asp.net-mvc - 如何在不使用太多 RAM 的情况下正确地从 MVC3 传输大数据?

assembly - 固件是机器码吗?

vhdl - 将整数写入文件 vhdl

vhdl - 最佳 VHDL 设计实践

vhdl - 进程与 "vanilla"VHDL的区别

vhdl - 使用记录类型在 VHDL 中模拟 systemverilog 接口(interface)?

vhdl - VHDL FSM 中的状态管理

c - 我应该使用哪个编译标志 -> Os 或 O2?

c++ - 如何在 C++ 中获取当前的 CPU 和 RAM 使用情况?

linux-kernel - 从用户空间到内核空间的回调