scala - 隐式转换不起作用

标签 scala implicit-conversion

为什么不应用以下隐式方法?如何实现自动将 X 实例转换为 Y 实例,同时在范围内具有隐式 Conversion[X,Y] .

trait Conversion[X, Y] {
  def apply(x: X): Y
}
implicit object Str2IntConversion extends Conversion[String, Int] {
  def apply(s: String): Int = s.size
}
implicit def convert[X, Y](x: X)(implicit c: Conversion[X, Y]): Y = c(x)

val s = "Hello"
val i1: Int = convert(s)
val i2: Int = s // type mismatch; found: String  required: Int

最佳答案

让你的转换扩展Function1,那么你就不再需要辅助方法了:

trait Conversion[X, Y] extends (X => Y) {
  def apply(x: X): Y
}

// unchanged
implicit object Str2IntConversion extends Conversion[String, Int] {
  def apply(s: String): Int = s.size
}

// removed convert

// unchanged
val s = "Hello"
val i1: Int = convert(s)
val i2: Int = s

关于scala - 隐式转换不起作用,我们在Stack Overflow上找到一个类似的问题: https://stackoverflow.com/questions/16341256/

相关文章:

scala - sbt-twirl 模板的预处理

scala - 找不到模块 : com. eed3si9n#sbt-assembly;0.14.3

scala - 如何让 HBase 与 sbt 的依赖管理很好地配合?

c# - 带文字数字的条件运算符返回类型

c++ - char 类型乘以另一个 char

Java Apache Math3 MersenneTwister VS Python 随机

scala - 没有return语句如何提早返回?

Scala:在伴随对象中使用隐式

c++ - 模板转换运算符问题

arrays - 为什么 char** argv 与 char* argv[] 相同