vhdl - FLI 需要 Cocotb VHDL

标签 vhdl verilog modelsim intel-fpga cocotb

我目前正在设置一个基于 Cocotb 的验证环境。

我刚刚发现,如果使用 VHDL,Cocotb 提供的示例在我的情况下不起作用,因为我的模拟器没有 FLI(外语界面)。 我收到以下消息:

Error (suppressible): (vsim-FLI-3155) The FLI is not enabled in this version of ModelSim.

(我有Altera Starter版本的ModelSim,实际上不包括FLI)。

令我惊讶的是该示例适用于 Verilog。据我了解,Verilog 使用的不是 FLI,而是 VPI。

如果需要强制使用 FLI,有人可以解释一下吗?Cocotb 中可以启用哪些功能?

另一个问题:如果我的顶部是用 Verilog 编写的,而其余设计是用 VHDL 编写的怎么办?应该有效吗?

最佳答案

Cocotb 有一个内部抽象层 (GPI) FLI , VPIVHPI 。例如。如果您将 Cocotb 与 GHDL 一起使用,它仅使用 VPI。您可以尝试针对 VPI 而不是 FLI 编译 Cocotb,并加载 VPI 库而不是 FLI 库。

关于vhdl - FLI 需要 Cocotb VHDL,我们在Stack Overflow上找到一个类似的问题: https://stackoverflow.com/questions/40511761/

相关文章:

vhdl - 查询用于 IC 设计(非 FPGA)的 VHDL 合成,特别是在变量分配的情况下

interface - 声明模块接口(interface)时出现 SystemVerilog 编译错误(未声明的标识符 [12.5(IEEE)])

type-conversion - 当 std_logic_vector 的大小可参数化时,如何计算其所需的位数?

vhdl - 在 vhdl 模拟中使用 U 逻辑初始化输出

filter - Verilog FIR 滤波器

syntax - 全局声明在 Verilog 2001 语法中是非法的!

modelsim - Altera Quartus 谎称未安装 Modelsim

vhdl - 如何删除ModelSim/QuestaSim中的库?

package - 如何定义VHDL组件和包?

Verilog HDL循环语句错误: loop with non-constant loop condition must terminate