testing - 如何处理代码覆盖率中的VHDL泛型

标签 testing vhdl code-coverage

在测试用 VHDL 编写的数字架构并以 100% 代码覆盖率为目标时,我真的不知道如何处理通用> 输入。

就我而言,我有一个具有通用依赖行为的组件,该组件被实例化两次。该实例对于该泛型具有不同的值(“0”和“1”),这意味着并且不可能是其他情况。 因此,我的代码覆盖率不可能是 100%(一个实例的通用值始终为“1”,另一个实例的通用值始终为“0”)。

我知道我可以决定从覆盖范围中排除验证,但我不确定这是最好的方法。在这种情况下什么是最佳实践?

最佳答案

代码覆盖率工具可能(并且应该)能够结合多个模拟运行的覆盖率。

因此,您进行 2 次单独的模拟运行,通用值分别为“0”和“1”,将这些模拟的覆盖率合并起来,那么合并的覆盖率应该为 100%。

关于testing - 如何处理代码覆盖率中的VHDL泛型,我们在Stack Overflow上找到一个类似的问题: https://stackoverflow.com/questions/36399700/

相关文章:

postgresql - 在 WITH 子句中链接 sql 查询以最终执行更新

testing - 自动化站点交互

Emacs VHDL 跳转报错

c# - 使用 ExcludeFromCodeCoverageAttribute 中断 XML Comment

python - 将命令行生成的 python .coverage 文件与 PyDev 集成

unit-testing - 使用 Groovy AST 转换时的代码覆盖率指标

reactjs - 如何配置 Selenium 以在 Chrome 中预安装扩展程序?

Django - 如何在 SqLite3 后端启用外键

port - VHDL错误: formal port 'num' has no actual or default value

VHDL Quartus 无法识别 "+"和 "-"