linux - 如何在 VCD 文件中指定注释?

标签 linux gtkwave vcd

VCD 文件中,我想指定某些事件发生在特定时间。为此,我尝试定义一个值几乎是时间 0 的单个位信号,并将该值切换为 0 然后再返回到 1 同时(在我的事件发生时)。不幸的是,使用 gtkwave 查看文件时没有任何显示。我怎样才能实现这种行为?

最佳答案

使用事件作为变量数据类型并使用 -> 强制事件发生。它会在 gtkwave 中显示为零时间的脉冲箭头。不需要时间尺度的技巧。

关于linux - 如何在 VCD 文件中指定注释?,我们在Stack Overflow上找到一个类似的问题: https://stackoverflow.com/questions/33095017/

相关文章:

linux - vfs_rename(...) 所需的锁

java - 替代 Linux 上的 JFileChooser?

linux - 将连续流通过管道传输到多个文件

linux - 在 linux 中从字符串中提取子字符串

vhdl - 从命令行重新加载 gtkwave 中的 VCD 文件

c++ - 如何检查 sc_buffer 和 sc_signal 之间的区别?

verilog - 生成用于在 chisel 中进行模拟的寄存器延迟